Sterowanie alfanumerycznych wyświetlaczy VFD.pdf

(263 KB) Pobierz
Sterowanie alfanumerycznych wyświetlaczy VFD
K U  R S
Pamiêtam pierwsze wra¿enie,
jakie wywar³ na mnie
wywietlacz VFD. Zachwyci³
mnie przede wszystkim
doskonale czytelny
z odleg³oci nawet kilku
metrów obraz. Oczyma
wyobrani ju¿ widzia³em go w projektowanych przeze
mnie sterownikach urz¹dzeñ.
Sterowanie alfanumerycznych
wywietlaczy VFD
Wywietlacze VFD
Skrót VFD pochodzi od angiels-
kich wyrazów Vacuum Fluorescent
Display . Wywietlacz VFD to rodzaj
trójelektrodowej lampy pró¿niowej,
w której poszczególnymi elektrodami
s¹:
- katoda: cienkie druty (¿arzone)
znajduj¹ce siê nad wiec¹cymi ob-
szarami,
- siatka kontrolna umieszczona po-
miêdzy katod¹ a matryc¹ znaku
(kontroluj¹ca wiecenie lub nie
punktów czy segmentów),
- anoda: wiec¹ca warstwa tzw. lu-
Tab. 1. Wykaz rozkazów akceptowanych przez wywietlacz CU20025-U2J firmy Noritake - Itron
Instrukcja
Kod instrukcji
Opis
RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0
Clear display
0
0
0
0
000001 Kasowanie ekranu oraz zapis 0 do wskanika adresu DD RAM
(kasowanie ekranu)
(pamiêæ znaków)
Cursor home
0
0
0
0
00001x Ustawienie wskanika adresu DD RAM na wartoæ 0 i powrót kursora do
(powrót kursora
pozycji pocz¹tkowej. Powoduje równie¿ przywrócenie stanu przesuwanego
do wsp. 0,0)
obrazu. Zawartoæ DD RAM pozostaje niezmieniona
Entry mode set
0
0
0
0
0001I/DS Ustawia kierunek ruchu kursora oraz sposób przesuwania obrazu w czasie
(ustawienie trybu
zapisu/odczytu danych
dla znaków)
I/D: 1 to automatyczne zwiêkszanie, 0 - zmniejszanie adresu
S : 1 to przesuwanie ekranu dozwolone, 0 - zabronione
Display ON/OFF
0
0
0
0
0
0
1
D
C
B
Za³¹czenie/wy³¹czenie obrazu, kursora oraz migotania kursora na pozycji znaku
controll
D : 1 - ekran za³¹czony, 0 - ekran wy³¹czony
(kontrola
C : 1 - kursor za³¹czony, 0 - kursor wy³¹czony
wywietlania)
B : 1 - migotanie za³¹czone, 0 - migotanie wy³¹czone
Cursor or display shift 0
0
0
0
0
1 S/C R/L x
x
Przesuwa kursor lub zawartoæ ekranu nie zmieniaj¹c zawartoci DD RAM
(przesuwanie kursora/
S/C: 1 - przesuwanie obrazu, 0 - przesuwanie kursora
obrazu)
R/L: 1 - kierunek przesuniêcia w prawo, 0 - w lewo
Function set
0
0
0
0
1
IF
x
x
x
x
Ustawia d³ugoæ s³owa danych dla interfejsu
(s³owo 4-/8-bitowe)
IF: 1 - interfejs 8 bitów, 0 - interfejs 4 bity
Brightness controll
1
0
xxxxxx10Polecenie akceptowane po rozkazie Function Set jako bajt kontroli jasnoci
(jasnoæ wiecenia)
wiecenia.
BR1, BR0 = 00: 100%, 01: 75%, 10: 50%, 11: 25%
CG RAM address setting 0
0
0
1
Adres CG RAM
Ustawia adres CG RAM (pamiêci generatora znaków, np. przy definiowaniu
(nastawy adresu
w³asnych znaków)
CG RAM)
DD RAM address setting 0
0
1
Adres DD RAM
Ustawia adres pamiêci DD RAM (pamiêci obrazu, np. przy realizacji funkcji
(nastawy adresu
umieszczaj¹cej znak na wspó³rzêdnych [kolumna, wiersz])
DD RAM)
Busy flag and address 0
1 BF
Wartoæ wskanika adresu
Odczyt flagi zajêtoci (gdy BF = 1, to realizowane jest przetwarzanie
reading
wewnêtrzne i kontroler nie przyjmuje danych) oraz wskanika adresu DD RAM
(odczyt flagi zajêtoci
i adresu)
Data writing to CG
1
0
Dane zapisywane
Zapis danych do DD RAM lub CG RAM w zale¿noci od tego, czy ostatnio
or DD RAM (zapis bajtu
wykonywano polecenie Set DD RAM Address, czy Set CG RAM Address
do CG RAM lub DD RAM)
Data reading from CG 1
1
Dane odczytywane
Odczyt danych z DD RAM lub CG RAM w zale¿noci od tego, czy ostatnio
or DD RAM (odczyt bajtu
wykonywano polecenie Set DD RAM Address, czy Set CG RAM Address
z CG RAMlub DD RAM)
Elektronika Praktyczna 1/2003
93
39642786.007.png
K U  R S
List. 1. Fragment programu w jêzyku C opisywanego w EP 7-8/2002
przeznaczonego do sterowania wywietlaczem z kontrolerem HD44780
zawieraj¹cy najwa¿niejsze funkcje
// zapis bajtu do lcd
void WriteByteToLcd(char X)
{
P2 |= 0xF0; //ustawienie górnej po³ówki portu P2 na 1
P2 &= (X | 0x0F); //bezkolizyjny zapis 1-szej po³ówki bajtu
//(przez funkcjê logiczn¹)
LcdEnable = 0; //zapis do wywietlacza (opadaj¹ce zbocze sygna³u E)
LcdEnable = 1; //zapis 2-giej po³ówki bajtu
X <<= 4; //przesuniêcie 4x w lewo
P2 |= 0xF0; //ustawienie górnej po³ówki portu P2 na 1
P2 &= (X | 0x0F); //zapis 2-giej po³ówki bajtu
LcdEnable = 0;
//opadaj¹ce zbocze E - zapis do LCD
Delay(1);
}
Fot. 2. Widoczny na zdjêciu ciemny
kleks zmieni sw¹ barwê, jeli
wywietlacz utraci szczelnoæ i do
wnêtrza dostanie siê powietrze
// zapis bajtu do rejestru kontrolnego LCD
void WriteToLcdCtrlRegister(char X)
{
LcdReg = 0;
//ustawienie sygna³ów steruj¹cych
LcdRead = 0;
LcdEnable = 1;
WriteByteToLcd(X);
biera wiêcej pr¹du ni¿ równowa¿ny
mu funkcjonalnie wywietlacz LCD.
W praktyce wartoæ ta dla wywietla-
cza znakowego wynosi kilkaset mA,
a dla graficznego nawet oko³o 1 A.
Elektrony wyemitowane z katody
przyci¹gane s¹ przez anodê, a ich
przep³yw jest sterowany napiêciem
siatki. Im mniej ujemny potencja³ ma
siatka, tym strumieñ elektronów p³y-
n¹cy przez pró¿niê od katody do
anody jest wiêkszy.
Luminofor pokrywaj¹cy anodê
wieci bombardowany strumieniem
tych elektronów. Gdy na siatce jest
potencja³ silnie ujemny, elektrony s¹
zawracane w kierunku katody: lumi-
nofor nie wieci. Mimo i¿ opisane
dzia³anie segmentu wskanika jest
bardzo podobne do dzia³ania triody,
to jednak wywietlacz ró¿ni siê od
niej sposobem sterowania przep³ywem
pr¹du anodowego: siatka steruj¹ca
dzia³a jak prze³¹cznik, a nie jak re-
gulator. Pewna mo¿liwoæ wp³ywu na
wartoæ pr¹du jest czêsto wykorzys-
tywana przez producentów wywiet-
laczy do zmiany jasnoci wiecenia
znaków.
Ka¿dy ze znaków uformowany jest
z wiec¹cych segmentów lub punk-
tów. Typowo, na pojedynczy znak
wywietlacza alfanumerycznego prze-
widziano matrycê 5 x 7 punktów.
Ka¿dy z nich jest miniaturow¹ anod¹
z doprowadzonym napiêciem zasila-
nia.
}
// zapis bajtu do wywietlacza
void LcdWrite(char X)
{
LcdReg = 1;
LcdRead = 0;
LcdEnable = 1;
WriteByteToLcd(X);
}
//inicjalizacja wywietlacza LCD w trybie 4 bity
void LcdInitialize(void)
{
char i;
Delay(15);
LcdReg = LcdEnable = LcdRead = 0; //wyzerowanie linii LcdReg,LcdRead,LcdEnable
for (i = 0; i<3; i++)
{
LcdEnable = 1;
//impuls na E
PORT &= 0x3F;
//ustawienie wart. inicjuj¹cej
LcdEnable = 0;
Delay(5);
}
LcdEnable = 1;
//wpisanie wartoci 2 do rej. kontr.
PORT &= 0x2F;
//tylko górne 4 bity
LcdEnable = 0;
Delay(1);
WriteToLcdCtrlRegister(0x28); //interfejs 4 bity, znaki 5x7
WriteToLcdCtrlRegister(0x08); //wy³¹czenie LCD
WriteToLcdCtrlRegister(0x01); //kasowanie ekranu, powrót do spoczynkowej
WriteToLcdCtrlRegister(0x06); //przesuwanie kursora z inkrementacj¹
WriteToLcdCtrlRegister(0x0C); //za³¹czenie wywietlacza
}
.............
minoforu (najczêciej jest nim fos-
for lub jego zwi¹zki).
Budowê wywietlacza VFD poka-
zano na rys. 1 . Jak w ka¿dej lampie
elektronowej, wymagane jest podgrza-
nie katody, poniewa¿ wskutek zacho-
dz¹cej wówczas termoemisji elektro-
nów z katody mo¿liwa jest praca
lampy przy niezbyt wysokim napiê-
ciu anodowym. W wywietlaczach
VFD cienki drut ¿arnika jest jedno-
czenie katod¹ - w lamie tej zastoso-
wano tzw. ¿arzenie bezporednie. Po-
bierany do rozgrzania katody pr¹d
¿arzenia jest przyczyn¹, ¿e VFD po-
List. 2. Przyk³ad fragmentu programu steruj¹cego wywietlaczem LCD lub
VFD w jêzyku Bascom
konfiguracja wywietlacza LCD
Config Lcd = 16 * 1
wybór sposobu pod³¹czenia
Config Lcdpin = Pin, Db4 = Porta.5, Db5 = Porta.4, Db6 = Porta.3, Db7 = Porta.2,
E = Porta.6,
Rs = Porta.7
Rys. 1. Budowa wywietlacza VFD
program g³ówny
Do
Call Gettime
Locate 1, 1: Lcd Bcd(h); :; Bcd(m); :; Bcd(s)
Loop
End
94
Elektronika Praktyczna 1/2003
39642786.008.png 39642786.009.png
K U  R S
List. 3. Fragment programu
napisanego w jêzyku C do obs³ugi
wywietlacza VFD
/*************************************
Obs³uga wywietlacza VFD firmy
Noritake VFD z u¿yciem UART
*************************************
Raisonance C module
Uwaga:
Ustaw Initial Timer 1 value to 0xFD !!!
(options > project > LX51 > linker >
timer 1 initial value = FD)
Dla rezonatora 11.0592MHz, prêdkoæ
UART wyniesie 9600 bps
*/
List. 4. Przyk³ad programu obs³ugi
wywietlacza VFD w jêzyku Bascom
z wykorzystaniem portu
szeregowego
$regfile = 8515DEF.DAT
$baud = 4800 'ustawienie szybkoci
'transmisji UART
$crystal = 7372800
List. 5. Program do obs³ugi
wywietlacza VFD przez UART
w jêzyku asembler 8051
$include (REG_51.PDF)
NAME VFDTest
DSEG AT 20H
Status:DS 1
FlagaRXBIT Status.0
FlagaTXBIT Status.1
TXDone BIT Status.2
BuforRX: DS 1
BuforTX: DS 1
;wektor obs³ugi przerwania po reset
CODE AT 0H
JMP Init
;wektor obs³ugi przerwania od SPI
CODE AT 23H
JMP IrqSPI
CODE AT 30H
;pocz¹tek programu g³ównego
;i wyprowadzenie napisu
VFD_Init: DB 1BH,49H,1BH,4CH,40H,0
Napis: DB Noritake VFD
ver.1,0 dd.2001/10/1SPI:9600,n,8,1,0
Init:
;ustawienie stosu
MOV SP,#0E0H
ACALL SPI_Init
MOV B,#3
MOV DPTR,#VFD_Init
ACALL StringOut
MOV DPTR,#Napis
ACALL StringOut
AJMP $
;************************
;Obs³uga transmisji przez
SPI;*********************
;obs³uga przerwania od SPI
IrqSPI:JBC RI,RXIrq
;Czy to znak przychodz¹cy?
TXIrq: JBC FlagaTX,SendIt
;Nie,wysy³aj dane
CLR TI
SETB TXDone
JMP SPI_Ret
SendIt:MOV SBUF,BuforTX
CLR TI
CLR TXDone
JMP SPI_Ret
RXIrq: MOV BuforRX,SBUF
;Tak,odbiór-czytaj znak
SETB FlagaRX ;Ustaw flagê odbioru
SPI_Ret: RETI
;inicjalizacja UART
SPI_Init: CLR TR1
CLR FlagaTX
CLR FlagaRX
SETB TXDone
MOV SCON,#01010000B
MOV TMOD,#00100001B
;timer 1 generuje baude
;rate,
;timer 0 jako 16-bit timer
MOV PCON,#0
;pojedyncza prêdkoæ transmisji
MOV TH1,#254
;th1 = 256-(11.0592e6/384x9600)
SETB TR1
SETB ES
SETB EA
RET
;Odczytuje znak i podaje go w A
CharIn:JNB FlagaRX,$
;Czekaj do momentu odbioru
MOV A,BuforRX
CLR FlagaRX
RET
;Wyprowadza znak podany w A
CharOut: JB FlagaTX,$
;Nie za szybko, bo nast¹pi blokada
MOV BuforTX,A ;Wylij znak
SETB FlagaTX
JNB TXDone,CharOut_Ret
SETB TI
CharOut_Ret:
RET
;Zwraca CY=0,jeli znak nie jest
;gotowy,CY=1 i znak w A jeli wszystko ok
;Stan interfejsu SPI mo¿e byæ równie¿
;sprawdzany poprzez bit RI
SPI_Status: MOV C,FlagaRX
JNC SPISta_Ret
CALL CharIn
SPISta_Ret: RET
;Adres ³añcucha do wys³ania w DPTR,
;transmisja koñczona jest przez znak 0x00.
StringOut: CLR A
MOVC A,@A+DPTR
CJNE A,#0,StrOut_1
AJMP StrOut_2
StrOut_1: CALL CharOut
INC DPTR
JMP StringOut
StrOut_2: CLR A
RET
Do
Printbin &H1B; &H4C; 0 '30%
Printbin &H0E 'kasowanie ekranu
Printbin &H1B; &H48; 0 'ustawienie
'kursora na pocz¹tku ekranu 0,0
Waitms 500
Print Noritake VFD display;
Waitms 500
Print CU20045SCPB-T23A
Waitms 500
Print RS232:19200,n,8,1
Waitms 500
Print Bascom is ok! 'wysy³amy
'napis na ekran
#include <reg52.h>
#include <stdio.h>
//inicjalizacja VFD (kasowanie ekranu,
//powrót do pozycji HOME
void VFD_Init(void);
{
putchar(0x1B);
putchar(0x49);
Waitms 800
}
Printbin &H1B; &H4C; &H40
50%
Waitms 800
Printbin &H1B; &H4C; &H80
//ustawienie kursora na pozycji x, y
void GotoXY(char x, char y)
{
75%
Waitms 800
Printbin &H1B; &H4C; &HC0 'tutaj
'regulacja jasnoci 100%
char addr;
addr == y * 20 + x - 1;
putchar(0x1B);
putchar(0x48);
putchar(addr);
Waitms 800
Printbin &H1B; &H4C; &H80
75%
Waitms 800
Printbin &H1B; &H4C; &H40
50%
Waitms 800
Loop
}
//program g³ówny
void main()
{
stosowanego dla wywietlaczy LED
ni¿ LCD. Przewa¿nie nie musimy siê
jednak zajmowaæ sterowaniem - nad-
zoruje je wbudowany przez produ-
centa sterownik wywietlacza.
Wystarczy wiedzieæ, ¿e VFD mo¿e
byæ przezeñ sterowany zarówno sta-
tycznie - poprzez przy³o¿enie odpo-
wiedniego napiêcia - jak i dynamicz-
nie - to znaczy z multipleksowaniem.
Ze wzglêdu na bardzo du¿¹ liczbê
wyprowadzeñ koniecznych przy za-
stosowaniu metody statycznej ( rys.
3 ), przewa¿nie stosowane jest
wywietlanie dynamiczne ( rys. 4 ).
Przy takim wywietlaniu ni¿sza jest
cena wywietlacza i mniejsza z³o¿o-
noæ.
Starsze modele wywietlaczy VFD
wymaga³y doprowadzenia wielu na-
piêæ steruj¹cych. Wymagane by³o za-
równo odpowiednie napiêcie siatki,
jak i anodowe oraz ¿arzenia. Skom-
plikowany sposób zasilania by³ przy-
czyn¹, ¿e nie by³y one zbyt chêtnie
stosowane przez konstruktorów, choæ
mo¿na je by³o spotkaæ w ró¿nych
wyrobach przemys³owych, takich jak:
kalkulatory stacjonarne, magnetowidy
czy zegary cyfrowe. Charakterystycz-
na jest bowiem dla nich znakomita
czytelnoæ w ró¿nych warunkach
owietlenia.
VFD_Init();
printf(%s\n,Noritake VFD);
while(1);
}
Tak jak w lampie, elektrody wy-
wietlacza zamkniête s¹ w szklanej
bañce, wewn¹trz której panuje pró¿-
nia. Wywietlacz, który z jakich po-
wodów utraci pró¿niê, ³atwo jest roz-
poznaæ: znajduj¹cy siê wewn¹trz
zwi¹zek chemiczny (tzw. poch³aniacz
gazów szcz¹tkowych - getter ) zmienia
swój kolor ze srebrnego (lub ciemno-
szarego) na bia³y, utleniaj¹c siê pod
wp³ywem powietrza atmosferycznego.
W ró¿nych wywietlaczach srebrny
kleks ( fot. 2 ) mo¿na znaleæ w ró¿-
nych miejscach. Niektóre z nich maj¹
go obok pola odczytowego, niektóre
za w okolicach zatopionego koñca
szklanej rurki, przez któr¹ wypompo-
wywane jest powietrze.
Drucik ¿arnika i siatka steruj¹ca
znajduj¹ siê miêdzy patrz¹cym
a wiec¹c¹ anod¹. Musz¹ wiêc byæ
tak ma³e, aby by³y niezauwa¿alne.
Jednoczenie drut ¿arnika powinien
byæ rozgrzany do oko³o 1000 stopni
Celsjusza!
Sterowanie segmentami lub mat-
ryc¹ VFD jest zbli¿one bardziej do
Nowoczesne wywietlacze VFD s¹ tak ³atwe w stosowaniu
jak popularne modu³y LCD. Maj¹ one podobny uk³ad
wyprowadzeñ i s¹ sterowane w taki sam sposób.
END
Elektronika Praktyczna 1/2003
95
39642786.010.png
K U  R S
Rys. 3. Po³¹czenia segmentów w wywietlaczu VFD
sterowanym statycznie
Rys. 4. Po³¹czenia segmentów w wywietlaczu VFD
sterowanym multipleksowo
Obecnie najchêtniej stosowane s¹
te wywietlacze VFD, które s¹ zasila-
ne z pojedynczego ród³a napiêcia
i same wytwarzaj¹ niezbêdne im do
pracy napiêcia.
wietlaczy LCD mo¿e byæ wykorzys-
tywany przez producentów równie¿
innych modeli wywietlaczy.
W zwi¹zku z tym programy steruj¹ce
prac¹ wywietlacza LCD mog¹ byæ
z powodzeniem u¿yte równie¿ dla
VFD. Programy obs³ugi wywietlaczy
LCD by³y opisane w 3. i 4. odcin-
kach kursu programowania w jêzyku
C dla mikrokontrolerów z rodziny
8051 (EP7 i 8/2002). W przypadku
jêzyka Bascom równie¿ nie ma wiêk-
szych k³opotów: wystarczy znajomoæ
kilku poleceñ zwi¹zanych z obs³ug¹
wywietlania na LCD, takich jak:
Config Lcd , Config Lcdpin , Locate
itp. Ze znalezieniem przyk³adów
VFD: 2 linie po 20 znaków ka¿da,
doskona³a jakoæ obrazu oraz tylko
jedno napiêcie niezbêdne do jego za-
silania. Pewn¹ przeszkod¹ w jego wy-
korzystaniu jest specyficzny interfejs
równoleg³y wymagaj¹cy specjalnego
sposobu sterowania, w³aciwego tylko
temu modelowi wywietlacza (na
przyk³ad sygna³ BUSY wyprowadzo-
ny jest oddzielnie). Oczywicie mo¿li-
we jest napisanie programu steruj¹ce-
go, ale przy zmianie modelu wy-
wietlacza mo¿e siê okazaæ, ¿e ko-
nieczna bêdzie modyfikacja programu
obs³ugi wywietlania. Na szczêcie
producent wyposa¿y³ wywietlacze
w dwa rodzaje interfejsu: RS232,
a raczej zgodny z jego specyfikacj¹
transmisji, lecz pracuj¹cy z wykorzys-
taniem poziomów napiêæ TTL oraz
równoleg³y. Wykorzystanie transmisji
szeregowej nie wi¹¿e siê z ¿adnymi
odstêpstwami od standardu i eliminu-
je koniecznoæ wykonania szeregu
po³¹czeñ. Wywietlacz wyposa¿ony
jest w trójstykowe z³¹cze, na którego
wyprowadzenie 1 doprowadzane jest
napiêcie zasilania +5 V, na 2 syg-
na³ danych, na 3 masa. Wykorzysty-
wane jest wy³¹cznie wyprowadzenie
TxD mikrokontrolera (transmisja
zwrotna nie jest przeprowadzana).
Nie ma potrzeby kontrolowania flagi
zajêtoci oraz stanu wywietlacza -
wszystkim zajmuje siê uk³ad kontro-
lera. Nale¿y tylko pamiêtaæ o popra-
wnym ustawieniu parametrów trans-
misji. Opis sposobu wykonania nie-
zbêdnych nastaw mo¿na znaleæ
w dokumentacji producenta. Osobi-
cie bardzo mi siê ta alternatywa po-
doba.
Na list. 3 , 4 5 zamieszczono
przyk³ady programów napisanych dla
tego modelu wywietlacza w jêzykach
Bascom, C i Asembler 51.
Jacek Bogusz, AVT
jacek.bogusz@ep.com.pl
Przyk³ady programów
steruj¹cych
Wiêkszoæ wspó³czenie produko-
wanych wywietlaczy jest wyposa¿o-
na w interfejs równoleg³y zgodny pod
wzglêdem wyprowadzeñ i realizowa-
nych funkcji z popularnym sterowni-
kiem HD44780. Mo¿na wiêc od³¹czyæ
wywietlacz LCD wyposa¿ony w inter-
fejs zgodny z tym standardem, a w je-
go miejsce pod³¹czyæ równowa¿ny
mu odpowiednik VFD (czêsto nawet
bez zmiany kolejnoci wyprowadzeñ).
Jedyna ró¿nica polega na niewyko-
rzystywaniu przez VFD niezbêdnego
dla LCD napiêcia regulacji kontrastu,
poniewa¿ kontrast jest zawsze taki
sam (jednakowo dobry) i regulowaæ
mo¿na tylko jasnoæ wiecenia zna-
ków. Regulacjê tê przyprowadza siê
jednak nie za pomoc¹ napiêcia ze-
wnêtrznego, lecz programowo. Niewy-
korzystane bêd¹ równie¿ wyprowadze-
nia pod³¹czenia napiêcia podwietle-
nia t³a.
Jak wynika z danych zawartych
tab. 1 , sterownik HD44780 skon-
struowany z przeznaczeniem dla wy-
takich programów przeznaczonych dla
dowolnego modelu mikrokontrolera
czy komputera PC nie powinno byæ
wiêkszych trudnoci.
Trochê gorzej jest w przypadku
starszych modeli wywietlaczy, pro-
dukowanych gdy nie by³ jeszcze
ustalony ¿aden standard sterowania
i ka¿dy z producentów budowa³ w³as-
ny interfejs. Pewnym ratunkiem mo-
¿e byæ wykorzystanie interfejsu sze-
regowego, w który wyposa¿ane by³y
niektóre z modeli wywietlaczy, na
przyk³ad te produkowane przez firmê
Noritake. Jako przyk³ad niech pos³u-
¿y wywietlacz CU20025-T20A. Posia-
da on wszystkie cechy nowoczesnego
Dodatkowe nateria³y oraz oprogra-
mowanie jest dostêpne w Internecie
pod adresem: http://www.noritake-it-
ron.com/Softview/softviewmain.htm.
96
Elektronika Praktyczna 1/2003
39642786.001.png 39642786.002.png 39642786.003.png 39642786.004.png 39642786.005.png 39642786.006.png
Zgłoś jeśli naruszono regulamin